CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - buffer in vhdl

搜索资源列表

  1. VHDL 编程要注意问题

    0下载:
  2. VHDL 共定义了 5 种类型的端口,分别是 In, Out,Inout, Buffer及 Linkage,实际设计时只会用到前四种。。。
  3. 所属分类:编程文档

  1. uart8.zip

    0下载:
  2. 使用Libero提供的异步通信IP核实现UART通信,并附带仿真程序。UART设置为1位开始位,8位数据位,1位停止位,无校验。且UART发送自带2级FIFO缓冲,占用FPGA面积很小。,Libero provided the use of asynchronous communication IP core implementation UART communications, and incidental simulation program. UART is set to 1 to sta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:877056
    • 提供者:张键
  1. fifo

    0下载:
  2. FIFO 是一种先进先出数据缓存器,这是一个同步FIFO的VHDL源程序,将FIFO分成几个模块进行设计,最后用顶层文件进行模块化设计。-FIFO is a FIFO buffer, which is a synchronous FIFO in VHDL source code, will be divided into several modules FIFO design, top-level files Finally, the modular design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4596
    • 提供者:刀刀
  1. FIFO

    0下载:
  2. 一个用VHDL源码编写的先进先出(FIFO)缓冲器模块.可以进行FIFO的仿真验证-A source prepared by VHDL FIFO (FIFO) buffer module. Can verify FIFO simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2072
    • 提供者:falcon_cq
  1. BUFFER

    0下载:
  2. buffer for in/out data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:499054
    • 提供者:mih
  1. POCREPORT

    0下载:
  2. 为充分利用CPU的运行效率,采用中断功能设计并行输入输出接口,以达到缓解CPU高速运行速度与外设低速缓冲间的矛盾。-To take full advantage of the efficiency of CPU operation, interruption of functional design using parallel input-output interface, in order to alleviate the CPU speed and high-speed periphera
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-08
    • 文件大小:209447
    • 提供者:Rachel
  1. camera_up

    0下载:
  2. Camera Interface模块是视频输入转换存储模块。该模块一端接通用的video camera设备,另一端接AHB总线。实现了将Camera捕捉到的数据进行转换、并通过DMA存储到memory中。该IP支持ITU-R BT 601/656 8-bit 模式。支持YCbCr, RGB格式输入。可以将camera产生的YCbCr信号转换成24bit RGB 信号,然后下采样生成16bit RGB 5:6:5的LCD能直接读取显示的数据。该设备支持图像的镜像和翻转,以便适应手持式设备在移动环境
  3. 所属分类:Video Capture

    • 发布日期:2017-03-24
    • 文件大小:33011
    • 提供者:孙喆
  1. vheader

    0下载:
  2. 将VHDL源文件中提取常量转换成C/C++的头文件。用于VHDL的固件和主机程序间的同步,如:寄存器地址,缓冲区长度,版本号等。-This short program converts the constants in VHDL files into C/C++ header files. It is useful to sync the VHDL firmware and C/C++ host program in, for example, register address, buffer
  3. 所属分类:Compiler program

    • 发布日期:2017-03-30
    • 文件大小:10736
    • 提供者:David Geng
  1. BusDelay

    0下载:
  2. buffer delay vhdl model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:517
    • 提供者:gnomix
  1. tristate

    0下载:
  2. VHDL code for a full adder and n bit full adder a tri state buffer and a flip flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1338
    • 提供者:Davood
  1. fifo_design

    0下载:
  2. 异步fifo的设计,能够很好的的完成,数据的缓冲,内部有ram存储器-The design of asynchronous fifo, Asynchronous fifo design, can be a good completion of the data buffer, internal ram memory
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:1728
    • 提供者:孟霑
  1. Bufor

    0下载:
  2. Circular buffer using a cyclone memory ( Quartus II and VHDL .)-Circular buffer using a cyclone memory ( Quartus II and VHDL .)
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:515371
    • 提供者:Kozinio
  1. FIFO

    0下载:
  2. 设计了一个具有双时钟信号,双复位信号的FIFO,用于FPGA中的数据缓冲,RAM的定义是参数型,可以根据自己的需求,修改此参数,完成RAM的容量扩展。程序中有详细的说明-Designed a dual-clock signal, double reset signal FIFO, for the FPGA in the data buffer, RAM is defined as parameter type, according to their needs, and modify this
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:183045
    • 提供者:luosheng
  1. Practica_3

    0下载:
  2. SP converter in vhdl and counter and buffer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1942
    • 提供者:max
  1. Rom_Control_FPGA

    0下载:
  2. 用VHDL语言写的ROM控制器,对于编写BUFFER的同志可以用来参考。具有一定价值。-Written in VHDL language using ROM controller, for the preparation of the comrades BUFFER can be used for reference. Has a certain value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3957
    • 提供者:张宁
  1. lab1(mka)

    0下载:
  2. RGB vga driver for manipulating the colours of a given image buffer. The code has beeen written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1802302
    • 提供者:saurabh
  1. fifo

    0下载:
  2. fifo buffer in vhdl, first in first out in vhdl, vhdl code
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-12-05
    • 文件大小:1513
    • 提供者:sgma
  1. buffer_tri_state

    0下载:
  2. Buffer tristate in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:520
    • 提供者:victor
  1. Buffer

    0下载:
  2. parametrizable register and mux in VHDL of data rage, using std_logic_vector type
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1981
    • 提供者:Felipe
  1. rs485

    0下载:
  2. communication rs232 in vhdl with clock divider, counter, buffer, rs232tx, rs232rx.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:14336
    • 提供者:le noach
« 12 »
搜珍网 www.dssz.com